logo

Verilog завжди блокувати

У Verilog блок завжди є одним із процедурних блоків. Оператори всередині блоку always виконуються послідовно.

Блок завжди виконується завжди, на відміну від початкових блоків, які виконуються лише один раз на початку симуляції. Блок завжди повинен мати конфіденційний список або пов’язану з ним затримку

Конфіденційний список — це список, який повідомляє блоку always, коли виконувати блок коду.

Синтаксис

The Verilog завжди блокувати наступний синтаксис

 always @ (event) [statement] always @ (event) begin [multiple statements] end 

Приклади

Символ @ після зарезервованого слова завжди , означає, що блокування буде запущено в умова в дужках після символу @.

 always @ (x or y or sel) begin m = 0; if (sel == 0) begin m = x; end else begin m = y; end end 

У наведеному вище прикладі ми описуємо мультиплексор 2:1 із входом x і y. The це є введенням вибору, і м це вихід мультиплексора.

У будь-якій комбінаційній логіці вихід змінюється щоразу, коли змінюється вхід. Якщо цю теорію застосувати до завжди блоків, то код усередині завжди блоків потрібно виконувати щоразу, коли змінюються вхідні чи вихідні змінні.

ПРИМІТКА. Він може управляти типами даних reg і integer, але не може керувати типами даних проводів.

У Verilog існує два типи конфіденційного списку, наприклад:

  1. Чутливий до рівня (для комбінаційних схем).
  2. Чутливий до країв (для шльопанців).

Наведений нижче код є тим самим мультиплексором 2:1, але вихід м тепер є виходом тригера.

 always @ (posedge clk ) if (reset == 0) begin m <= 0; end else if (sel="=" 0) begin m <="x;" pre> <h4>NOTE: The always block is executed at some particular event. A sensitivity list defines the event.</h4> <h3>Sensitivity List</h3> <p>A sensitivity list is an expression that defines when the always block executed, and it is specified after the @ operator within the parentheses ( ). This list may contain either one or a group of signals whose value change will execute the always block.</p> <p>In the code shown below, all statements inside the always block executed whenever the value of signals x or y change.</p> <pre> // execute always block whenever value of &apos;x&apos; or &apos;y&apos; change always @ (x or y) begin [statements] end </pre> <p> <strong>Need of Sensitivity List</strong> </p> <p>The always block repeats continuously throughout a simulation. The sensitivity list brings a certain sense of timing, i.e., whenever any signal in the sensitivity list changes, the always block is triggered.</p> <p>If there are no timing control statements within an always block, the simulation will hang because of a zero-delay infinite loop.</p> <p>For example, always block attempts to invert the value of the signal clk. The statement is executed after every 0-time units. Hence, it executes forever because of the absence of a delay in the statement.</p> <pre> // always block started at time 0 units // But when is it supposed to be repeated // There is no time control, and hence it will stay and // be repeated at 0-time units only and it continues // in a loop and simulation will hang always clk = ~clk; </pre> <p>If the sensitivity list is empty, there should be some other form of time delay. Simulation time is advanced by a delay statement within the always construct.</p> <pre> always #10 clk = ~clk; </pre> <p>Now, the clock inversion is done after every 10-time units. That&apos;s why the real Verilog design code always requires a sensitivity list.</p> <h4>NOTE: Explicit delays are not synthesizable into logic gates.</h4> <h3>Uses of always block</h3> <p>An always block can be used to realize combinational or sequential elements. A sequential element like flip flop becomes active when it is provided with a clock and reset.</p> <p>Similarly, a combinational block becomes active when one of its input values change. These hardware blocks are all working concurrently independently of each other. The connection between each is what determines the flow of data.</p> <p>An always block is made as a continuous process that gets triggered and performs some action when a signal within the sensitivity list becomes active.</p> <p>In the following example, all statements within the always block executed at every positive edge of the signal clk</p> <pre> // execute always block at the positive edge of signal &apos;clk&apos; always @ (posedge clk) begin [statements] end </pre> <h3>Sequential Element Design</h3> <p>The below code defines a module called <strong> <em>tff</em> </strong> that accepts a data input, clock, and active-low reset. Here, the always block is triggered either at the positive edge of the <strong> <em>clk</em> </strong> or the negative edge of <strong> <em>rstn</em> </strong> .</p> <p> <strong>1. The positive edge of the clock</strong> </p> <p>The following events happen at the positive edge of the clock and are repeated for all positive edge of the clock.</p> <p> <strong>Step 1:</strong> First, if statement checks the value of active-low reset <strong> <em>rstn</em> </strong> .</p> <ul> <li>If <strong> <em>rstn</em> </strong> is zero, then output q should be reset to the default value of 0.</li> <li>If <strong> <em>rstn</em> </strong> is one, then it means reset is not applied and should follow default behavior.</li> </ul> <p> <strong>Step 2:</strong> If the previous step is false, then</p> <ul> <li>Check the value of d, and if it is found to be one, then invert the value of q.</li> <li>If d is 0, then maintain value of q.</li> </ul> <pre> module tff (input d, clk, rstn, output reg q); always @ (posedge clk or negedge rstn) begin if (!rstn) q <= 0; else if (d) q <="~q;" end endmodule pre> <p> <strong>2. Negative edge of reset</strong> </p> <p>The following events happen at the negative edge of <strong> <em>rstn</em> </strong> .</p> <p> <strong>Step 1:</strong> First, if statement checks the value of active-low reset <strong> <em>rstn</em> </strong> . At the negative edge of the signal, its value is 0.</p> <ul> <li>If the value of <strong> <em>rstn</em> </strong> is 0, then it means reset is applied, and output should be reset to the default value of 0.</li> <li>And if the value of <strong> <em>rstn</em> </strong> is 1, then it is not considered because the current event is a negative edge of the <strong> <em>rstn</em> </strong> .</li> </ul> <h3>Combinational Element Design</h3> <p>An always block can also be used in the design of combinational blocks.</p> <p>For example, the digital circuit below represents three different logic gates that provide a specific output at signal o.</p> <img src="//techcodeview.com/img/verilog-tutorial/39/verilog-always-block.webp" alt="Verilog Always Block"> <p>The code shown below is a module with four input ports and a single output port called o. The always block is triggered whenever any of the signals in the sensitivity list changes in value.</p> <p>The output signal is declared as type <strong> <em>reg</em> </strong> in the module port list because it is used in a procedural block. All signals used in a procedural block should be declared as type <strong> <em>reg</em> </strong> .</p> <pre> module combo (input a, input b, input c, input d, output reg o); always @ (a or b or c or d) begin o <= ~((a & b) | (c^d)); end endmodule < pre> <p>The signal o becomes 1 whenever the combinational expression on the RHS becomes true. Similarly, o becomes 0 when RHS is false.</p> <hr></=></pre></=></pre></=>

Необхідність списку чутливості

pyspark

Блок завжди повторюється протягом симуляції. Список чутливості забезпечує певне відчуття часу, тобто щоразу, коли будь-який сигнал у списку чутливості змінюється, активується завжди блокування.

Якщо в блоці завжди немає операторів керування синхронізацією, симуляція зависне через нескінченний цикл із нульовою затримкою.

Наприклад, завжди блокувати спроби інвертувати значення сигналу clk. Інструкція виконується через кожні 0 одиниць часу. Отже, він виконується вічно через відсутність затримки в операторі.

 // always block started at time 0 units // But when is it supposed to be repeated // There is no time control, and hence it will stay and // be repeated at 0-time units only and it continues // in a loop and simulation will hang always clk = ~clk; 

Якщо список чутливості порожній, має бути інша форма затримки часу. Час симуляції збільшується оператором delay у конструкції always.

 always #10 clk = ~clk; 

Тепер інверсія годинника виконується через кожні 10 одиниць часу. Ось чому справжній код розробки Verilog завжди вимагає списку чутливості.

ПРИМІТКА. Явні затримки не можна синтезувати в логічні елементи.

Використання завжди блокувати

Завжди блок можна використовувати для реалізації комбінаційних або послідовних елементів. Послідовний елемент, як-от тригер, стає активним, коли йому надається годинник і скидається.

Подібним чином комбінаційний блок стає активним, коли змінюється одне з його вхідних значень. Усі ці апаратні блоки працюють паралельно незалежно один від одного. Зв’язок між ними визначає потік даних.

Завжди блокується як безперервний процес, який запускається та виконує певну дію, коли сигнал у списку чутливості стає активним.

У наступному прикладі всі оператори в межах блоку always виконуються на кожному позитивному фронті сигналу clk

 // execute always block at the positive edge of signal &apos;clk&apos; always @ (posedge clk) begin [statements] end 

Дизайн послідовних елементів

Наведений нижче код визначає модуль під назвою tff який приймає введення даних, годинник і скидання активного низького рівня. Тут завжди блокується або на позитивному фронті clk або негативний край rstn .

1. Позитивний фронт годинника

Наступні події відбуваються на позитивному фронті годинника і повторюються для всіх позитивних фронтів годинника.

Крок 1: По-перше, оператор if перевіряє значення active-low reset rstn .

  • Якщо rstn дорівнює нулю, тоді вихід q слід скинути до значення за замовчуванням 0.
  • Якщо rstn це один, то це означає, що скидання не застосовано і має діяти за замовчуванням.

крок 2: Якщо попередній крок хибний, тоді

  • Перевірте значення d і, якщо воно дорівнює одиниці, інвертуйте значення q.
  • Якщо d дорівнює 0, тоді збережіть значення q.
 module tff (input d, clk, rstn, output reg q); always @ (posedge clk or negedge rstn) begin if (!rstn) q <= 0; else if (d) q <="~q;" end endmodule pre> <p> <strong>2. Negative edge of reset</strong> </p> <p>The following events happen at the negative edge of <strong> <em>rstn</em> </strong> .</p> <p> <strong>Step 1:</strong> First, if statement checks the value of active-low reset <strong> <em>rstn</em> </strong> . At the negative edge of the signal, its value is 0.</p> <ul> <li>If the value of <strong> <em>rstn</em> </strong> is 0, then it means reset is applied, and output should be reset to the default value of 0.</li> <li>And if the value of <strong> <em>rstn</em> </strong> is 1, then it is not considered because the current event is a negative edge of the <strong> <em>rstn</em> </strong> .</li> </ul> <h3>Combinational Element Design</h3> <p>An always block can also be used in the design of combinational blocks.</p> <p>For example, the digital circuit below represents three different logic gates that provide a specific output at signal o.</p> <img src="//techcodeview.com/img/verilog-tutorial/39/verilog-always-block.webp" alt="Verilog Always Block"> <p>The code shown below is a module with four input ports and a single output port called o. The always block is triggered whenever any of the signals in the sensitivity list changes in value.</p> <p>The output signal is declared as type <strong> <em>reg</em> </strong> in the module port list because it is used in a procedural block. All signals used in a procedural block should be declared as type <strong> <em>reg</em> </strong> .</p> <pre> module combo (input a, input b, input c, input d, output reg o); always @ (a or b or c or d) begin o <= ~((a & b) | (c^d)); end endmodule < pre> <p>The signal o becomes 1 whenever the combinational expression on the RHS becomes true. Similarly, o becomes 0 when RHS is false.</p> <hr></=></pre></=>